为您找到约 266 条结果,搜索耗时:0.0080秒

多变循环彩灯

多变循环彩灯#include <REG52.H> #include "SoundPlay.h" unsigned char RunMode; //**********************************System Fuction************************************************* void Delay1ms(unsigned int count) { unsigned int i,j; for(i=0;i<count;i++) for(j=0;j<120;j...

AD0832设计的电压表32X16点阵显示

AD0832设计的电压表32X16点阵显示#include <intrins.h> #include <reg52.h> #include <stdio.h> #define ulong unsigned long #define uint unsigned int #define uchar unsigned char uchar k,i,j; float l; sbit sw=P3^0;      //159选通开关 sbit ADCS =P3^1...

网络路由最短路径算法

网络路由最短路径算法#include<iostream> #include"NetTopo.h" using namespace std; //const int DefaultVertices=200;  //默认的拓扑的最大顶点数 const int inf=1000;//最大权值 //构造函数 NetTopo::NetTopo(int sz) {     maxVertices=sz;//设置最大...

免费下载GMDH神经网络代码

... Y2);                  if l>1             if L(1).RMSE2 > Layers{l-1}(1).RMSE2                 break;             end       &nbs...

电子商务网站

...Work4.0以上开发项目使用技术:asp.net webform拖控件实现src->db 数据库文件src->world 项目说明文档src->陇原商城 源码实现本项目为大学毕业设计答辩所用。1.  环境开发环境  MicrosoftVisual Studio 2008 数据库 SQL Server 2...

单片机水塔控制系统

单片机水塔控制系统#include<reg51.h> //定义一个数组,使数码管显示的数字和数组元素的下标相等 unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66,                             0x6d,0x7d,0x07,...

VHDL中的完整8位微控制器

...            when "000" => -- ADD                  ALU_ADD <= ('0' & A )+ ('0'& B);            &nb...

lcd-12864应用

lcd-12864应用#include <reg51.h> #include <intrins.h> typedef unsigned int WORD; const  WORD code encoding_00[] = //神 { 0x0208, 0x0108, 0x0089, 0xffce, 0x00a8, 0x0318, 0x0000, 0x07f8, 0x0248, 0x0248, 0xffff, 0x0248, 0x0248, 0x07f8, 0x0000, 0x0000, 0x0000, 0x0040, 0x00...

基于VHDL的可变占空比PWM发生器

...ncing  signal counter_slow: std_logic_vector(27 downto 0):=(others => '0');-- counter for creating slow clock  signal tmp1,tmp2,duty_inc: std_logic;-- temporary signals for deboucing  signal tmp3,tmp4,duty_dec: std_logic;-- temporary signals for deboucing  signal counter_P...

Infiniband 网卡驱动安装的坑

...p;27 16:55 sda2lrwxrwxrwx 1 root root 15 10月 27 16:55 stderr -> /proc/self/fd/2lrwxrwxrwx 1 root root 15 10月 27 16:55 stdin -> /proc/self/fd/0lrwxrwxrwx 1 root root 15 10月 27 16:55 stdout -> /proc/self/fd/1crw------- 1 root root 10, 58 11月 2 16:29 tgtcrw-rw-rw- 1 root tty ...

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部