为您找到约 20 条结果,搜索耗时:0.0029秒

编译器指令

此项目是verilog编译器指令;附件详细的介绍了属于IEEE-1364的一些指令,有include指令、define指令、undef指令、ifdef指令。使用ifdef指令示例如下:使用ifdef指令示例   1 module ifdef ();   2   3 initial begin   4 `ifdef FIRST &n...

潮流计算

该程序求解6-bus, 9-bus, 14-bus, 26-bus & 30-bus IEEE 标准算例,适用了牛顿拉夫逊法newton-raphson,高斯塞德尔和快速解耦法。打开main.m

高斯·赛德尔潮流分析

...高斯Seidel潮流。这个程序具有2个测试用例(6节点和9节点ieee算例),但也可以在其他算例中使用,只需在表格中输入数据,例如(线路参数)。该程序包含导纳矩阵Ybus并使用高斯Seidel方法计算V和Theta,然后计算潮流。 最后,程...

基于MATLAB的电力系统动态分析程序

...载建模为电压相关和独立的负载。本文使用的测试系统是IEEE 9总线和68总线系统,以及德克萨斯州的2007总线综合电源系统。不同类型的干扰适用于系统,包括发电机侧干扰和网络侧干扰。结果证明了该软件包对研究人员和学生的...

算术逻辑单元(ALU)的VHDL代码

... projects, VHDL projects -- VHDL code for ALU -- @fpga4student library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.NUMERIC_STD.all; ----------------------------------------------- ---------- ALU 8-bit VHDL --------------------- -----------------------------...

IEEE34节点算例

这是用opendss仿真的 34节点算例, IEEE34节点算例,部分参数如下: 阻抗参数如下; --------- Z & B Matrices Before Changes ---------            Z (R +jX) in ohms per mile 1.3368  1.3343  &nbsp...

30节点Ybus潮流计算程序

IEEE30节点算例,给出潮流计算数据,在matlab进行计算,下面是计算程序,Ybus潮流计算算例% IEEE 30 BUS SYSTEM %     Bus No    Vm    Theta    P       Q     Pl      Q1  &nb...

密码协处理器设计

...合逻辑单元的VHDL代码;如想了解更多请下载附件。library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- fpga4student.com: FPGA projects, Verilog projects, VHDL projects -- VHDL project: Cryptographic coprocessor Design in VHDL -- VHDL code for Combinational Logic unit of the coprocessor...

使用VHDL的矩阵乘法设计

...  -- VHDL project: VHDL code for matrix multiplcation  library ieee;    use ieee.std_logic_1164.all;    use ieee.numeric_std.all;   use IEEE.STD_LOGIC_UNSIGNED.ALL;   -- Required entity declaration   entity IntMatMulCo...

Newton-Raphson潮流计算

...nbus = 14;                  % IEEE-14, IEEE-30, IEEE-57..Y = ybusppg(nbus);          % Calling ybusppg.m to get Y-Bus Matrix..busd = busdatas(nbus);      % Calling busdatas..BMva = 100;        &n...

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部