为您找到约 60 条结果,搜索耗时:0.0119秒

常用的几种通信接口 | 总结

...度决定了并行接口的宽度,可以是4位、8位、16位、32位、64位等,设备支持的总线宽度应该与主控处理器完全相同。

简易示波器设计

...nbsp;   writecommand(page); writecommand(0x40); for(i=0;i<64;i++) writedate(0x00); } pianxuan(1);     lei=0x40; for(ye=0xb8;ye<0xbf;ye++) { shu=0xff; shuju(); } ye=0xb8; for(lei=0x40;lei<=0x7f;lei++) {   shu=0x80;   shuj...

Mellanox Linux驱动程序模块关系(MLNX_OFED)

...中是在RHEL7 OS中采用的:# pwd/lib/modules/3.10.0-123.el7.x86_64/extra# find . * | grep .ko./knem-mlnx/knem.ko./mlnx-ofa_kernel/fs/9p/9p.ko./mlnx-ofa_kernel/net/sunrpc/xprtrdma/svcrdma.ko./mlnx-ofa_kernel/net/sunrpc/xprtrdma/xprtrdma.ko./mlnx-ofa_kernel/net/rds/rds_tcp.ko./mlnx-ofa_...

ibsystat源码分析

...rpc.oui = mad_get_field(mad, 0, IB_VEND2_OUI_F); rpc.trid = mad_get_field64(mad, 0, IB_MAD_TRID_F); if (size > IB_MAD_SIZE) rmpp.flags = IB_RMPP_FLAG_ACTIVE; DEBUG("responding %d bytes to %s, attr 0x%x mod 0x%x qkey %x", size, portid2str(&rport), rpc.attr.id, rpc.attr.mod...

awk sed 大全

... >  awk '/AAA.*BBB.*CCC/' >  # 打印长度大于64个字节的行 >  awk 'length > 64' >  # 打印长度小于64个字节的行 >  awk 'length < 64' >  # 打印从匹配正则起到文件末尾的内容 >&nb...

FIFO存储器的Verilog代码

...Checking   reg [5:0] waddr, raddr;   reg [7:0] mem[64:0];   always @ (posedge clk) begin        if (~rst_n) begin             waddr     <= 6'd0;  ...

指纹传感器生物识别门锁

..._PORT 1883 #define MQTT_NAME "choudharyas" #define MQTT_PASS "988c4e045ef64c1b9bc8b5bb7ef5f2d9" void send_event(const char *event); const char *host = "maker.ifttt.com"; const char *privateKey = "hUAAAz0AVvc6-NW1UmqWXXv6VQWmpiGFxx3sV5rnaM9"; FPS_GT511C3 fps(D6, D5); #define relay D1 #defi...

使用VHDL的矩阵乘法设计

...ultiplcation  library ieee;    use ieee.std_logic_1164.all;    use ieee.numeric_std.all;   use IEEE.STD_LOGIC_UNSIGNED.ALL;   -- Required entity declaration   entity IntMatMulCore is        po...

IEEE34节点算例

... +jX) in ohms per mile 1.9300  1.4115   0.2327  0.6442   0.2359  0.5691                  1.9157  1.4281   0.2288  0.5238     ...

C 语言编程经典 100 例

...();}==============================================================【程序64】题目:利用ellipse and rectangle 画图。1.程序分析:2.程序源代码:#include “stdio.h“#include “graphics.h“#include “conio.h“main(){int driver=VGA,mode=VGAHI;int i,num=15,top=50;int left=20,rig...

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部