-
-
...测信号计算出需要补偿的电压信号,触发逆变器生成补偿波形,保证负载侧电压稳定,避免因电压跌落所带来的设备停机等问题。 常用的检测方法为dq检测,分为三相dq检测和单相dq检测,本文以三相dq检测进行介绍,...
-
-
...的Verilog测试台代码;在预览区展示了Tic Tac Toe游戏的仿真波形;想了解更多请下载附件。`timescale 1ns / 1ps
// fpga4student.com: FPGA projects, Verilog projects, VHDL projects
// Verilog testbench code for TIC TAC TOE GAME
module tb_tic_tac_toe;
// Inputs
&n...
-
-
...、加密协处理器的VHDL Testbench代码、密码协处理器的仿真波形。本人在下方展示了协处理器组合逻辑单元的VHDL代码;如想了解更多请下载附件。library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- fpga4student.com: FPGA projects, Verilog projects, VHDL project...
-
-
...模块的脉搏监测系统设计论文资料0025、基于CPLD的三相多波形函数发生器设计论文资料0026、基于DDS的信号源设计论文资料0027、基于FPGA多通道采样系统设计论文资料0028、基于GSM短信模块的家庭防盗报警系统论文资料0029、基于IGBT...
-
-
...方程。 比较器的Verilog代码由ModelSim仿真,并给出了仿真波形。2位比较器的规格如下:输入:2位A和B用于比较输出:A_greater_B:如果A> B,则为高,否则为低A_equal_B:如果A = B,则为高,否则为低A_less_B:如果A <B,则为高,否...
-
-
...的完整Verilog代码、闹钟的Testbench Verilog代码、闹钟的仿真波形图。本人在预览区展示了简单的闹钟示意图和闹钟的仿真波形图;同时在下方展示了闹钟的完整Verilog代码;如需了解更多请下载附件。// fpga4student.com FPGA projects, VHDL p...
-
-
...灯控制器的仿真结果、Verilog中交通信号灯控制器的仿真波形。本人在下面只展示了交通信号灯控制器的Verilog代码,如需了解更详细请下载附件。// fpga4student.com FPGA projects, VHDL projects, Verilog projects
// Verilog project: Verilog code for traf...
-
-
...储器以模拟指令集体系结构中的所有指令,然后检查模拟波形和存储器以查看处理器是否按设计正常工作。附件文件中包括:Verilog中实现的说明、数据存储器的Verilog代码、ALU控制单元的Verilog代码、控制单元的Verilog代码、单周...
-
-
...代码、行为分隔器的Verilog测试平台代码、分频器的仿真波形。本人在下方展示了32位无符号除法器的结构模型Verilog代码;如想了解更多请下载附件。 `timescale 1ns / 1ns
// fpga4student.com FPGA projects, Verilog projects, VHDL proje...
-
-
...合的,可以在FPGA上实现。Verilog中数字延迟计时器的仿真波形有:一键式、延迟操作模式、延迟释放模式、延迟双模式。附件文件包括:可编程数字延迟计时器LS7212的Verilog代码和延迟计时器的Testbench Verilog代码。本人在下方展示...