为您找到约 1 条结果,搜索耗时:0.0046秒

您是不是要找: a_bus

密码协处理器设计

...ral_VHDL is port ( A_BUS: in std_logic_vector(15 downto 0);    B_BUS: in std_logic_vector(15 downto 0);    CTRL: in std_logic_vector(3 downto 0);    RESULT: out std_logic_vector(15 downto 0)   ); end structural_VHDL; architecture Behavioral of structural_VHD...

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部