...;
Q : out std_logic
);
end component;
signal slow_clk_en: std_logic:='0'; -- slow clock enable for debouncing
signal counter_slow: std_logic_vector(27 downto 0):=(others => '0');-- counter for creating slow clock
signal tmp1,tmp2,duty_inc: std_logic;-- ...