为您找到约 22 条结果,搜索耗时:0.0065秒
-
-
...bsp; );
end ALU;
architecture Behavioral of ALU is
signal ALU_Result:std_logic_vector(7 downto 0);
signal ALU_ADD: std_logic_vector(8 downto 0);
signal C,Z,V,N,add_ov,sub_ov: std_logic;
...
-
-
... );
end IntMatMulCore;
architecture IntMatMulCore_arch of IntMatMulCore is
-- fpga4student.com FPGA projects, Verilog projects, VHDL projects
COMPONENT dpram1024x16
PORT (
clka : IN STD_LOGI...