为您找到约 223 条结果,搜索耗时:0.0060秒

数控云台master

...6,sreg      push r26 #endasm status=UCSRA; data=UDR; if ((status & (FRAMING_ERROR | PARITY_ERROR | DATA_OVERRUN))==0)    {    rx_buffer[rx_wr_index]=data;    if (++rx_wr_index == RX_BUFFER_SIZE) rx_wr_index=0;    if (++rx_counter ...

在JAVA中下载网站复印机项目

...etal"  public static String lookAndFeel;    //What to do if destination file already exists  // 0 -> ask user  // 1 -> ignore  // 2 -> overwrite  // 3 -> overwrite if older  // 4 -> resume  // 5 -> rename  public static in...

单片机设计2008奥运会

...三次按下确认键 bit fourth_sure=0;//第四次按下确认键 bit fifth_sure=0; //第五次按下确认键 bit sixth_sure=0; //第六次按下确认键 bit seventh_sure=0;//第七次按下确认键 unsigned code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x00};//段码表 ...

C 语言编程经典 100 例

...*/ for(j=1;j〈5;j++)   for (k=1;k〈5;k++)   {    if (i!=k&&i!=j&&j!=k)    /*确保i、j、k三位互不相同*/    printf(“%d,%d,%d\n“,i,j,k);   }}==============================================================【程序2】题目:企...

辐射状配电网系统的潮流计算

...    b=l(i,3);    for j=1:no        if a==j            C(i,j)=-1;        end        if b==j            C(i,j)=1;        end    ende...

KeePass

...rce) {     ASSERT(pSettings != NULL);     if(pSettings == NULL) return PWGE_NULL_PTR;     EraseTCharVector(vOutPassword);     CNewRandom* pAllocatedRandom = NULL;     CNewRandom* pRandom = pRandomSource;  &n...

传感器演示

...uchIDBtn:(UIButton *)sender{ //判断设备是否支持Touch ID if ([[UIDevice currentDevice].systemVersion floatValue] < 8.0) { [self createAlterView:@"不支持指纹识别"]; return; }else{ LAContext *ctx = [[LAContext alloc] init]; //设置 ...

多变循环彩灯

...d char i; bit Flag; for(i = 0; i<Count;i++) { Flag = !Flag; if(Flag) Display(RunMode); else Display(0x10); Delay1ms(100); } Display(RunMode); } unsigned char GetKey(void) { unsigned char KeyTemp,CheckValue,Key = 0x00; CheckValue = P2&0x32; if(CheckValu...

交通信号灯控制器的Verilog代码

...next_state; // next state always @(posedge clk or negedge rst_n) begin if(~rst_n)  state <= 2'b00; else  state <= next_state; end // FSM always @(*) begin case(state) HGRE_FRED: begin // Green on highway and red on farm way  RED_count_en=0;  YELLOW_count_en...

谐波潮流计算

...bsp;  for kk=1:Size_load_power(1,2)%         if rand1(kk,1)<0%             rand1(kk,1)=(60-15000*(0-(0.002/sqrt(2.))*sign(rand(1,1)-0.5).*log(1-2*abs((rand(1,1))-0.5))))/100;%         end%    &nbsp...

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部