为您找到约 65 条结果,搜索耗时:0.0017秒

比较器的Verilog代码

...示了比较器的Verilog代码;如想了解更多请下载附件。// FPGA projects using Verilog/ VHDL   // fpga4student.com : FPGA projects, Verilog projects, VHDL projects  // Verilog code for 2-bit comparator    module comparator(input [1:0] A,B, output A_le...

一个简易的电梯控制器

一个简易的电梯控制器 # elevator使用VHDL语言在FPGA开发板上开发的一个简易的电梯控制器这个项目是我大一时的项目,当时经验不足,文档和注释很少,开发时没有使用版本管理工具。但是这个项目在FPGA开发板上经过测试,...

Xilinx IP核参考工具书

FPGA可以分为以下三种资源:逻辑资源:包含CLB,block rams,乘法器连接资源:可编程互联线、IOB其他资源:全局时钟网络;当然高端的FPGA除了以上三种资源,还有集成了其他资源:ARM核、PCIE核、MIG核等等

带测试平台的计数器的VHDL代码

... IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- FPGA projects using Verilog code VHDL code -- fpga4student.com: FPGA projects, Verilog projects, VHDL projects -- VHDL project: VHDL code for counters with testbench  -- VHDL project: VHDL code for up counter &n...

4x4乘法器的Verilog代码

...绪。下方展示了乘法器的Verilog代码:`timescale 1ns / 1ps // fpga4student.com FPGA projects, Verilog projects, VHDL projects // multiplier 4x4 using Shift/Add Algorithm and 2-phase clocking system // Verilog project: Verilog code for multiplier module mult_4x4(    &nb...

使用VHDL的矩阵乘法设计

...。矩阵的每个分量都是16位无符号整数。 该内核在Xilinx FPGA Spartan-6 XC6SLX45-CSG324-3上实现。 行为和路由后验证均已完成。 仿真结果与Matlab实现结果进行了精确比较。(注意:完成乘法器内核的设计后,我们对内核进行行为仿真。 ...

全加法器的VHDL代码

...的全加法器的VHDL代码;如想了解的更多请下载附件。-- fpga4student.com -- FPGA projects, VHDL projects, Verilog projects -- VHDL code for full adder -- Structural code for full adder  library ieee;  use ieee.std_logic_1164.all;   entity Full_Adder_S...

算术逻辑单元(ALU)的Verilog代码

...示了ALU的Testbench Verilog代码;想了解更多请下载附件。// fpga4student.com: FPGA projects, Verilog projects, VHDL projects // Verilog project: Verilog code for ALU // by FPGA4STUDENT  `timescale 1ns / 1ps  module tb_alu; //Inputs  reg[7:0] A,B;  reg[3:0] A...

D触发器的Verilog代码

...D型触发器的Verilog代码;如想了解的更多请下载附件。// FPGA projects using Verilog/ VHDL // fpga4student.com // Verilog code for D Flip FLop // Verilog code for rising edge D flip flop module RisingEdge_DFlipFlop(D,clk,Q); input D; // Data input input clk; // clock input ...

Verilog HDL中的基本数字逻辑组件

...完整加法器的Verilog代码,如想了解的更多请下载附件。//fpga4student.com: FPga projects, Verilog projects, VHDL projects // Verilog code for full adder  module adder(sum,cout,a,b,cin);   input  a,b,cin;   output cout,sum;   // sum = ...

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部