-
-
... wxBitmap my_horse_xpm; wxBitmap my_horse_ico32; wxBitmap my_horse_ico16; wxBitmap my_horse_ico; wxBitmap my_horse_cur; wxBitmap my_png_from_res,  ...
-
-
...ame(pds.read_csv('company.csv',header=1))
x = [10,13,17,67,35,1,10,12,8,1,32,3,90,1,16,31,25]
y = [317,147,172,194,789,190,281,142,186,226,287,499,181,172,190,271,382]
sortColors = ['g','r','b']#三个类别的三种颜色
sortX = np.random.randint(10, 100, 3)#生成三个随机数x
sortY = np....
-
-
...14,0x14,0x00,0x00,//=
0x00,0x02,0x01,0x51,0x09,0x06,0x00,0x00,//?
0x00,0x32,0x49,0x79,0x41,0x3E,0x00,0x00,//@(22)
0x00,0x7e,0x11,0x11,0x11,0x7f,0x00,0x00,//A
0x00,0x41,0x7f,0x49,0x49,0x36,0x00,0x00,//B
0x00,0x3e,0x41,0x41,0x41,0x22,0x00,0x00,//C
0x00,0x41,0x7f,0x41,0x41,0x3e,0x00,0x00,//D
0x0...
-
-
...tructural Modeling
module N_bit_adder(input1,input2,answer);
parameter N=32;
input [N-1:0] input1,input2;
output [N-1:0] answer;
wire carry_out;
wire [N-1:0] carry;
genvar i;
generate
for(i=0;i<N;i=i+1)
 ...
-
-
... 11:34:29,089 INFO Collecting Mellanox devices information2016-01-29 11:34:32,220 INFO System info file: /tmp/mlnx_tune_160129_113416.log
-
-
...ile (evtTarget.nodeName != 'LI')
31. evtTarget = evtTarget.parentNode;
32. foldMenuIn(evtTarget);
33. if (evtTarget.relatedItem && !evtTarget.relatedItem.opened) {
34. evtTarget.className = 'highlight';
35. evtTarget.relatedItem.className = 'foldOut';
36. evtTarget.relatedIte...
-
-
...ei=0x70;
for(r=0;r<16;r++)
{
shu=t[2*r+1+32*k];
shuju();
lei++;
}
ye=l+0x01;
lei=0x70;
for(r=0;r<16;r++)
{
shu=t[2*r+32*k];
shuju();
lei++;
}
}
while(1)
{
uchar d1,d2,d3,d4,d5,d6;
while(jia==0)
{...
-
-
...ilog
component N_bit_adder is
generic (
N: integer:=32
);
port( input1: in std_logic_vector(N-1 downto 0);
input2: in std_logic_vector(N-1 downto 0);
answer: out std_logic_vector(N-1 downto 0)
);
end component N_bit_adder;
signal BBUS_no...
-
-
...02,103,105,12,87,95,65,45,-89,-88,-54,
};
code char pin[8] = {1,2,4,8,16,32,64,128};
char MAX_SPEED_TICKER = 120;
unsigned char i; //电机序号
void InitTimer(void) //初始化计数器
{
TH0 = 0xFA; //设置触发周期:1000次
TL0 = 0x24;
TMOD = TMOD |0x01;&...
-
-
...模式和设计模式,熟悉各种芯片及外围设备,熟悉8位16位32位处理器嵌入式硬件平台开发。有的要求有FPGA的开发经验,精通常用的硬件设计工具:ProtelPADS(PowerPCB)CadenceOrCad一般要有4~8层高速PCB设计经验。02.嵌入式驱动开发这个可...