-
-
...器,它是模拟和综合工具。 它用作编译器,将用Verilog(IEEE-1364)编写的源代码编译为某种目标格式。 对于批处理模拟,编译器可以生成称为vvp程序集的中间形式。 该中间形式由``vvp''命令执行。 伊卡洛斯继续变得越来越好。 ...
-
-
...er System State Estimation using Weighted Least Square Method..num = 30; % IEEE - 14 or IEEE - 30 bus system..(for IEEE-14 bus system replace 30 by 14)...ybus = ybusppg(num); % Get YBus..zdata = zdatas(num); % Get Measurement data..bpq = bbusppg(num); % Get B data..nbus = max(max(zdata(:,4)),max(zda...
-
-
IEEE30节点算例,给出潮流计算数据,在matlab进行计算,下面是计算程序,Ybus潮流计算算例% IEEE 30 BUS SYSTEM
% Bus No Vm Theta P Q Pl Q1 &nb...
-
-
这是opendss 13节点算例, IEEE13节点算例,线路参数,负荷数据,变压器参数, 调压器参数如下:Config.PhasingPhase Neutral Spacing ACSRACSRID601B A C N556,500 26/74/0 6/1500602C A B N4/0 6/14/0 6/1500603C B N1/01/0505604A C N1/01/0505605C N1/01/0510 Und...
-
-
...
-- VHDL project: VHDL code for matrix multiplcation
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Required entity declaration
entity IntMatMulCo...