为您找到约 80 条结果,搜索耗时:0.0032秒

单片机水塔控制系统

...ep(void);main(){uchar i,j;TMOD=0x10;TL1=0xe0;TH1=0xb1;IE=0;while(1){  if(KKK!=P1)           //当P1的值发生变化,触发采集信号    loop1();  if(flg!=2)              {   for(i=0;i<=3;i++)...

步进电机控制程序液晶显示

... uchar i,j; TMOD=0x10; TL1=0xe0; TH1=0xb1; IE=0; while(1) {   if(KKK!=P1)           //当P1的值发生变化,触发采集信号     loop1();   if(flg!=2)               {    for(i=0;i&lt...

万年历

...nbsp;             case(0xfe) :   // if(result==0xfe)    //秒调整 +                          {                       &nbsp...

数控云台master

...6,sreg      push r26 #endasm status=UCSRA; data=UDR; if ((status & (FRAMING_ERROR | PARITY_ERROR | DATA_OVERRUN))==0)    {    rx_buffer[rx_wr_index]=data;    if (++rx_wr_index == RX_BUFFER_SIZE) rx_wr_index=0;    if (++rx_counter ...

单片机电子表

...置函数 TH0 = (65536-2000)/256;   //TH0重置函数 cp++; if(cp>=250)       //0.5s { cp1++; cp = 0; flash = ~flash;        //0.5s  - 闪烁 if(cp1>=2)    //1s { if(mode==0)    //调整时,...

谐波潮流计算

...bsp;  for kk=1:Size_load_power(1,2)%         if rand1(kk,1)<0%             rand1(kk,1)=(60-15000*(0-(0.002/sqrt(2.))*sign(rand(1,1)-0.5).*log(1-2*abs((rand(1,1))-0.5))))/100;%         end%    &nbsp...

C 语言编程经典 100

...*/ for(j=1;j〈5;j++)   for (k=1;k〈5;k++)   {    if (i!=k&&i!=j&&j!=k)    /*确保i、j、k三位互不相同*/    printf(“%d,%d,%d\n“,i,j,k);   }}==============================================================【程序2】题目:企...

交通信号灯控制器的Verilog代码

...next_state; // next state always @(posedge clk or negedge rst_n) begin if(~rst_n)  state <= 2'b00; else  state <= next_state; end // FSM always @(*) begin case(state) HGRE_FRED: begin // Green on highway and red on farm way  RED_count_en=0;  YELLOW_count_en...

Java面试题

...包括:大厂面试真题+Java学习指南+工作总结。将介绍优化if-else代码的八种方案。### 优化方案一:提前return,去除不必要的else如果if-else代码块包含return语句,可以考虑通过提前return,把多余else干掉,使代码更加优雅。**优化前...

ppk谈JavaScript

...document.getElementsByTagName && document.createElement); 2. 3. if (compatible) 4. document.write('<link rel="stylesheet" href="navstyles.css" />') 5. 6. function initNavigation() { 7. var lists = document.getElementsByTagName('ul'); 8. for (var i=0;i<lists.length;i++) {...

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部