为您找到约 120 条结果,搜索耗时:0.0018秒

Verilog HDL中的基本数字逻辑组件

...的Verilog代码,如想了解的更多请下载附件。//fpga4student.com: FPga projects, Verilog projects, VHDL projects // Verilog code for full adder  module adder(sum,cout,a,b,cin);   input  a,b,cin;   output cout,sum;   // sum = a xor b xor cin...

Python爬虫景点销售数据

...p;     res = requests.get('https://travelsearch.fliggy.com/async/queryItemResult.do?searchType='                                '...

基于oracle的路灯灯杆编号自动出图系统

...以灯杆编号命名,输出的二维码信息为:http://api.map.baidu.com/marker?location="SMY","SMX"&title="ALARMID2"&content="ALARM_LOCATIONNAME"&output=html其中打引号部分为字段的内容;9、点击输出图片按钮后,按照排版要求,生成相应的图片并输...

用于VHDL中ECG去噪的低通FIR滤波器

...  USE IEEE.Std_logic_signed.all;    -- fpga4student.com: FPGA projects, VHDL projects, Verilog projects    -- LOW pass FIR filter for ECG Denoising  -- VHDL project: VHDL code for FIR filter    entity FIR_RI is  -- VHDL projects &n...

停车场系统的Verilog代码

...的Verilog代码;如想了解得更多请下载附件。// fpga4student.com FPGA projects, Verilog projects, VHDL projects // Verilog project: Verilog code for car parking system `timescale 1ns / 1ps module parking_system(            &nbs...

如何为双向/输入端口编写Verilog Testbench

...;想了解更多请下载附件。`timescale 1ns/10ps // fpga4student.com // FPGA projects, Verilog projects, VHDL projects // How to write a verilog testbench for bidirectional/ inout port module test_IO(); reg DS,OEN,IE,PE,I,din; wire PAD; reg wr; wire C; // inout port assign PAD = wr...

VHDL中的移位器设计

...载附件。library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- fpga4student.com: FPGA projects, Verilog projects, VHDL projects -- VHDL project: VHDL code for Shifter entity shifter is   generic ( N: integer:=16   );     Port ( SHIFTINPUT : in  STD_LOGIC_VECTOR(N-1 do...

D触发器的VHDL代码

...多请下载附件。-- FPGA projects using VHDL/ VHDL -- fpga4student.com -- VHDL code for D Flip FLop -- VHDL code for rising edge D flip flop Library IEEE; USE IEEE.Std_logic_1164.all; entity RisingEdge_DFlipFlop is    port(       Q : out std_logic;&n...

ZYNQ7020 AXI 总线仿真

...通信。amba_axi_protocol_spec的下载地址:https://static.docs.arm.com/ihi0022/g/IHI0022G_amba_axi_protocol_spec.pdf?_ga=2.83582867.447931395.1591251023-1939436544.1591251023时钟与复位Clock每个AXI interface都有一个时钟信号ACLK,所有的输入信号在ACLK的上升沿采样,...

基于VHDL的可变占空比PWM发生器

...PWM发生器代码;如想了解更多请下载附件。-- fpga4student.com: FPGA Projects, Verilog projects, VHDL projects -- VHDL code for PWM Generator -- Two de-bounced push-buttons -- One: increase duty cycle by 10% -- Another: Decrease duty cycle by 10% library IEEE; use IEEE.STD_LOGI...

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部