FPGA上的Verilog车牌识别

此项目是FPGA上的Verilog车牌识别。牌照许可证识别系统在Matlab中实现,然后使用Verilog在FPGA Xilinx Spartan-6上实现。本人在下方展示了一部分测试车牌识别系统Verilog代码;如想了解得更详细请下载附件。

应用介绍

此项目是FPGA上的Verilog车牌识别。牌照许可证识别系统在Matlab中实现,然后使用Verilog在FPGA Xilinx Spartan-6上实现。图像存储器:10张图像并将其转换为.dat格式(灰色数据)。 我们使用$ readmemh(synthesizable)Verilog命令通过从.dat文件读取灰色数据来初始化内存。 使用Matlab将10张图像转换为Gray格式并写入.dat文件。车牌牌照识别核心:使用FPGA上的开关作为“开始”信号,以触发该核心工作并输出车牌牌照编号。在LED上监控:当“完成”信号为上升沿时,此块定期显示车牌号码。本人在下方展示了一部分测试车牌识别系统Verilog代码;如想了解得更详细请下载附件。

// fpga4student.com FPGA projects, Verilog projects, VHDL projects 
// Verilog project: License Plate Recognition in Verilog and Matlab 
// Top level module for testing the license plate recognition system
module Test_top(input clk // 33MHz   
                               ,rst, start,  
                               output reg[5:0] led  
   );  
      reg [7:0] image_pixel_val;  
      // Outputs  
      wire done;  // fpga4student.com FPGA projects, Verilog projects, VHDL projects 
      wire [15:0] image_pixel_addr;  
      wire [5:0] ReadCh;  
      reg[5:0] num1,num2,num3,num4,num5,num6,num7;  
      reg [2:0] count,count_4s;  
      wire CharCheck;  
      reg clk_4s,display;  
      integer counter;  
      reg [7:0] image_inv [0:65535];  
   // Instanitate the license plate recognition system 
      LPChRec uut (  
           .clk(clk),   
           .rst(rst),   
           .start(start),  
           .image_pixel_val(image_pixel_val),  
           .image_pixel_addr(image_pixel_addr),  
           .ReadCh(ReadCh),   
           .CharCheck(CharCheck),  
           .done(done)  
      );  
   // Read License Plate Image file into FPGA 
      initial begin  
      $readmemh ("10.dat", image_inv, 0, 65535);  
      end  
      always @(posedge clk or posedge rst) // clock 4s  
      begin  
           if(rst) begin  
                clk_4s <= 1'b0;  
                counter <= 0;  
                end  
           else  
           begin  
                counter <= counter + 1;  
                if(counter <= 66000000) clk_4s <= 1'b0;  
                else if(counter > 132000000)   
                begin  
                     counter <=0;  
                end  
                else  
                clk_4s <= 1'b1;  
           end  
      end  
      always @(posedge clk)  
      begin  
           image_pixel_val <= image_inv[image_pixel_addr];            
      end  
 // fpga4student.com FPGA projects, Verilog projects, VHDL projects 
   always @(posedge clk or posedge rst)  
      begin  
           if(rst) begin   
                count = 3'd0;  
                num1 <= 40;  
                num2 <=0;  
                num3 <= 0;  
                num4 <= 0;  
                num5 <= 0;  
                num6 <= 0;  
                display <= 0;  
                end  
           else  
          ..........................
       .............................     

文件列表(部分)

名称 大小 修改日期
FPGA上的Verilog车牌识别.txt1.32 KB2020-03-28

立即下载

相关下载

[FPGA上的Verilog车牌识别] 此项目是FPGA上的Verilog车牌识别。牌照许可证识别系统在Matlab中实现,然后使用Verilog在FPGA Xilinx Spartan-6上实现。本人在下方展示了一部分测试车牌识别系统Verilog代码;如想了解得更详细请下载附件。

评论列表 共有 0 条评论

暂无评论

微信捐赠

微信扫一扫体验

立即
上传
发表
评论
返回
顶部